Saturday, June 29, 2024

Siemens Offers AI-accelerated Verification for Analog, Mixed-Signal, 3D IC Designs in Solido Simulation Suite

PLANO, TX, USA, Jun 26, 2024 – Siemens Digital Industries Software introduced Solido™ Simulation Suite software (“Solido Sim” software), an integrated suite of AI-accelerated SPICE, Fast SPICE and mixed-signal simulators designed to help customers dramatically accelerate critical design and verification tasks for their next-generation analog, mixed-signal and custom IC designs.

Built on the foundation of Siemens’ industry-proven, foundry-certified Analog FastSPICE (AFS) platform, Solido Sim incorporates three innovative new simulators:Solido™ SPICE software, Solido™ FastSPICE software and Solido™ LibSPICE software, as well as Siemens’ market-proven AFS platform, ELDO™ software and Symphony™ software.

“Solido Simulation Suite, featuring AI-accelerated SPICE and FastSPICE engines, represents a significant leap forward in custom IC simulation technology, providing unmatched accuracy and efficiency for chip design and verification engineers,” said Michael Ellow, CEO, Silicon Systems, Siemens Digital Industries Software. “Our initial Solido Sim customers have experienced remarkable success across multiple processes technology platforms, all while demonstrating faster runtimes and enabling compelling new capabilities for their next-generation analog, RF, mixed-signal and library IP designs.”

Solido Sim is engineered to help IC design teams meet increasingly stringent specifications, verification coverage metrics and time-to-market requirements. It delivers comprehensive application coverage with best-in-class circuit and System-on-a-Chip (SoC) verification capabilities. Powered by AI technologies, Solido Sim is developed with next-generation process technologies and complex integrated circuit (IC) structures in mind, providing the required toolsets and capabilities to help achieve accurate signal and power integrity goals.

Solido Sim features a simplified use model, accelerated verification and a unified workflow. It delivers a compelling set of innovative new simulation technologies, including:

  • Solido SPICE is Siemens’ next-generation, feature-rich SPICE simulation technology, providing a 2-30x speedup for analog, mixed-signal, RF and 3D IC verification. With newer convergence, cache efficient algorithms and high multi-core scalability, Solido SPICE provides a significant performance boost for large pre- or post-layout designs. RF IC developers can directly benefit from Solido SPICE’s new RF verification capabilities, while multi-die, 2.5D, 3D and memory interface developers can now experience an efficient capability for full channel transceiver verification that includesequalization, drastically reducing interface assumptions and accelerating verification.
  • Solido FastSPICE is Siemens’ cutting-edge Fast SPICE simulation technology, providing an order-of-magnitude speedup for SoC, memory and analog functional verification. It provides a dynamic use model for SPICE-to-Fast SPICE scaling, providing a scalable interface to help achieve speed goals with predictable accuracy. Solido FastSPICE includes multi-resolution technology for differentiated performance and SPICE-accurate waveforms during critical path analysis for memory and analog characterization.
  • Solido LibSPICEis Siemens’ purpose-built batch solver technology for small designs, providing optimized runtimes for Library IP applications. Solido LibSPICE is uniquely integrated into Siemens’ popular Solido Design Environment and Solido Characterization Suite offerings for performance acceleration, enabling a full-flow solution for seamless and robust verification of standard cells and memory bit-cells.

Powering all 3 of these new solvers is Solido Sim AI – the latest version of Siemens’ groundbreaking, AI- accelerated simulation technology. Solido Sim AI is the newest iteration of the AI technology that Solido Design Automation used to pioneer the design and deployment of AI for EDA purposes 15 years ago. With Solido Sim AI, circuit simulation is advanced to the next level with algorithms that are self-verifying and tuned to SPICE accuracy, providing orders-of-magnitude improved acceleration – all accomplished using existing foundry-certified device models without alteration.

Solido Sim integrates natively within Siemens Solido™ Design Environment and Solido™ Characterization Suite, offering customers superior performance with optimal accuracy, improved productivity, and scalability across cloud infrastructures. Further, Solido Simulation Suite works closely with Siemens’ industry leading IC sign-off flows Calibre® platform Design solutions and Tessent™ Test solutions as well as Siemens’ electronic systems design and manufacturing PCB solutions, providing full-flow verification solutions across applications.

Customer quotes

“We are pioneering CMOS image sensor technology, driving innovation across industries from automotive to cinematography. Verification of high-resolution, high-frame rate sensors is challenging due to the sheer size of the extracted post-layout netlist which presents a bottleneck in terms of simulation run time,” said Loc Duc Truong, Division VP at Ametek. “Siemens’ Solido Simulation Suite provided us with SPICE and FastSPICE toolsets that demonstrated up-to 19x faster across our analog and memory designs. This enables us to accelerate our verification schedules significantly, while empowering us to expand our roadmap with more innovative design solutions for our customers.”

“We are at the forefront of creating flexible and multi-functional foundation I/Os, enabling contemporary chips to seamlessly adapt to different markets, interfaces, voltages, and standards using a single I/O design,” said Stephen Fairbanks, CEO of Certus Semiconductor. “Our customers span across automotive, industrial, AI, consumer electronics, datacenter and networking applications, with consistent new design requirements spanning mature to advanced process technologies, and we pride ourselves on being the best partner for our customers to create I/O libraries that enable and differentiate their products, giving them a market edge, with the best performing ESD, against their competition. After a thorough evaluation of industry simulators, we chose Solido Simulation Suite. The decision was rooted in the consistent realization of up to 30X speed-up with golden accuracy, translating to substantial savings in simulation cycles. This collaboration empowered us to successfully implement silicon verified designs for high-voltage RF applications and introduce robust multi-protocol I/O solutions, showcasing adaptability and efficacy in advanced process nodes.”

“Mixel develops world-class low-power, high-bandwidth MIPI PHY IP solutions, enabling efficient and reliable data communication for multiple applications and use cases, including mission-critical automotive SoCs. Our complex designs require high-capacity and high-volume verification to meet stringent specifications” said Michael Nagib, Director of Analog/Mixed-Signal Engineering at Mixel. “Utilizing Siemens SPICE and mixed-signal verification technologies, we’ve consistently achieved first-pass silicon success. The newly released Solido Simulation Suite provided a remarkable 3x improvement in verification efficiency with the same accuracy, enabling us to innovate and grow our portfolio faster.”

“As a provider of top-tier silicon intellectual property for high-performance clocking and low-power/high-speed data interfaces, our products play a crucial role in modern SoCs,” emphasized Randy Caplan, CEO and Co-Founder of Silicon Creations. “The complexity of designing at 5nm and below, coupled with slow post-layout simulations, due to very high device counts, poses major challenges. Fast and accurate simulation of GAA and FinFET process technology-based designs is imperative to meet our end-customers’ demanding requirements and schedules. In our active participation in the early access program for Solido™ Simulation Suite, using various post-layout designs, we observed an impressive acceleration of up to 11X while preserving SPICE-level accuracy. We look forward to leveraging Solido Simulation Suite to validate our most complex designs, ensuring first silicon success and meeting our high-yield targets.”

Availability

The Solido™ Simulation Suite is now available. To learn more, visit https://eda.sw.siemens.com/en-US/ic/solido/.

About Siemens Digital Industries Software

Siemens Digital Industries Software helps organizations of all sizes digitally transform using software, hardware and services from the Siemens Xcelerator business platform. Siemens’ software and the comprehensive digital twin enable companies to optimize their design, engineering and manufacturing processes to turn today’s ideas into the sustainable products of the future. From chips to entire systems, from product to process, across all industries. Siemens Digital Industries Software – Accelerating transformation.

About Siemens Digital Industries

Siemens Digital Industries (DI) is an innovation leader in automation and digitalization. Closely collaborating with partners and customers, DI drives the digital transformation in the process and discrete industries. With its Digital Enterprise portfolio, DI provides companies of all sizes with an end-to-end set of products, solutions and services to integrate and digitalize the entire value chain. Optimized for the specific needs of each industry, DI’s unique portfolio supports customers to achieve greater productivity and flexibility. DI is constantly adding innovations to its portfolio to integrate cutting-edge future technologies. Siemens Digital Industries has its global headquarters in Nuremberg, Germany, and has around 72,000 employees internationally.

About Siemens AG 

Siemens AG (Berlin and Munich) is a leading technology company focused on industry, infrastructure, transport, and healthcare. From more resource-efficient factories, resilient supply chains, and smarter buildings and grids, to cleaner and more comfortable transportation as well as advanced healthcare, the company creates technology with purpose adding real value for customers. By combining the real and the digital worlds, Siemens empowers its customers to transform their industries and markets, helping them to transform the everyday for billions of people. Siemens also owns a majority stake in the publicly listed company Siemens Healthineers, a globally leading medical technology provider shaping the future of healthcare.

In fiscal 2023, which ended on September 30, 2023, the Siemens Group generated revenue of €77.8 billion and net income of €8.5 billion. As of September 30, 2023, the company employed around 320,000 people worldwide. Further information is available on the Internet at www.siemens.com.

Nitin Patil
Nitin Patil
Editor and Director of Content, DailyCADCAM.com. Nitin completed his Master's in Mass Communication & Journalism and having 13 years of work experience as an editor, content writer with renowned international technical magazines and media companies. He is associated with CAD,CAM industry since 2008.
spot_img

Latest Posts